File:  [local] / rpl / src / completion.h
Revision 1.29: download - view: text, annotated - select for diffs - revision graph
Tue Jun 21 15:26:28 2011 UTC (12 years, 10 months ago) by bertrand
Branches: MAIN
CVS tags: HEAD
Correction d'une réinitialisation sauvage de la pile des variables par niveau
dans la copie de la structure de description du processus. Cela corrige
la fonction SPAWN qui échouait sur un segmentation fault car la pile des
variables par niveau était vide alors même que l'arbre des variables contenait
bien les variables. Passage à la prerelease 2.

    1: /*
    2: ================================================================================
    3:   RPL/2 (R) version 4.1.0.prerelease.2
    4:   Copyright (C) 1989-2011 Dr. BERTRAND Joël
    5: 
    6:   This file is part of RPL/2.
    7: 
    8:   RPL/2 is free software; you can redistribute it and/or modify it
    9:   under the terms of the CeCILL V2 License as published by the french
   10:   CEA, CNRS and INRIA.
   11:  
   12:   RPL/2 is distributed in the hope that it will be useful, but WITHOUT
   13:   ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
   14:   FITNESS FOR A PARTICULAR PURPOSE.  See the CeCILL V2 License
   15:   for more details.
   16:  
   17:   You should have received a copy of the CeCILL License
   18:   along with RPL/2. If not, write to info@cecill.info.
   19: ================================================================================
   20: */
   21: 
   22: 
   23: #ifndef INCLUSTION_COMPLETION
   24: #define INCLUSTION_COMPLETION
   25: #ifndef COMPLETION
   26: #   define COMPLETION_RC    "/rc"
   27: #endif
   28: 
   29: static unsigned char *commandes[] =
   30:         { "abort", "abs", "acos", "acosh", "alarm", "alog", "append", "and",
   31:                 "array->", "arg", "asin", "asinh", "asl", "asr", "atan",
   32:                 "atanh", "atexit", "atpoke", "autoscale", "axes",
   33: 
   34: #               ifndef COMPLETION
   35:                 COMPLETION_RC,
   36: #               endif
   37: 
   38:         "b->r", "backspace", "backtrace", "beep", "bessel", "bin",
   39:         
   40: #               ifndef COMPLETION
   41:                 COMPLETION_RC,
   42: #               endif
   43: 
   44:         "c->r", "case", "ceil", "centr", "cf", "chr",
   45:                 "clear",
   46:                 "cllcd", "clmf", "close", "clratexit", "clratpoke",
   47:                 "clrcntxt", "clrerr", "clrfuse",
   48:                 "clrmtx", "clrsmphr",
   49:                 "clrswi", "crmtx", "crsmphr", "cls", "clusr", "cnrm",
   50:                 "col+", "col-", "col->", "cols", "comb",
   51:                 "con", "cond", "conj", "cont", "continue", "convert", "copy",
   52:                 "copyright", "corr",
   53:                 "cos", "cosh", "cov", "cr", "create", "cross", "crtab", "cstop",
   54:                 "cswp", "currenc", "cycle",
   55: 
   56: #               ifndef COMPLETION
   57:                 COMPLETION_RC,
   58: #               endif
   59: 
   60:         "d->r", "daemonize",
   61:                 "date", "dec", "decr", "default", "deg", "delete", "depnd",
   62:                 "depth", "der", "det", "detach",
   63:                 "dft", "dgtiz", "diag->", "disp", "do", "dot", "draw",
   64:                 "drax", "drop", "drop2", "dropcntxt",
   65:                 "dropn", "drws", "dup", "dup2",
   66:                 "dupcntxt", "dupn",
   67: 
   68: #               ifndef COMPLETION
   69:                 COMPLETION_RC,
   70: #               endif
   71: 
   72:         "e", "edit", "egv", "egvl", "else", "elseif", "end", "eng",
   73:                 "epsilon", "erase", "errm", "errn", "eval", "exget", "exit",
   74:                 "exp", "expm", "exsub", "externals", "eyept",
   75: 
   76: #               ifndef COMPLETION
   77:                 COMPLETION_RC,
   78: #               endif
   79: 
   80:         "fact", "false", "fc?", "fc?c", "fc?s", "fft", "fix", "floor",
   81:                 "for", "format", "fp", "fs?", "fs?c", "fs?s", "function",
   82:                 "fuse",
   83: 
   84: #               ifndef COMPLETION
   85:                 COMPLETION_RC,
   86: #               endif
   87: 
   88:         "gamma",
   89:         "gegv", "gegvl", "get", "getc", "geti", "getr", "glegv", "gregv",
   90: 
   91: #               ifndef COMPLETION
   92:                 COMPLETION_RC,
   93: #               endif
   94: 
   95:         "halt", "head", "help", "hex", "histogram", "hms->", "hms-", "hms+",
   96: 
   97: #               ifndef COMPLETION
   98:                 COMPLETION_RC,
   99: #               endif
  100: 
  101:         "i", "idft", "idn", "if", "iferr", "ifft", "ift", "ifte", "im",
  102:                 "implicit", "in",
  103:                 "incr", "indep", "input", "inquire", "int", "inv", "ip", "iswi",
  104:                 "itrace",
  105: 
  106: #               ifndef COMPLETION
  107:                 COMPLETION_RC,
  108: #               endif
  109: 
  110:         "jdate",
  111: 
  112: #               ifndef COMPLETION
  113:                 COMPLETION_RC,
  114: #               endif
  115: 
  116:         "key", "keylabel", "keytitle", "kill", "kind",
  117: 
  118: #               ifndef COMPLETION
  119:                 COMPLETION_RC,
  120: #               endif
  121: 
  122:         "l->t", "label", "last", "lcase", "lcd->", "lchol", "legv", "line",
  123:                 "list->", "ln", "lnp1", "localization", "lock", "log",
  124:                 "logger", "logscale", "lq", "lsq", "lu",
  125: 
  126: #               ifndef COMPLETION
  127:                 COMPLETION_RC,
  128: #               endif
  129: 
  130:         "mant", "mark",
  131:         "max", "maxs", "mclrin", "mean", "mem", "memlock",
  132:         "memunlock", "min", "mins", "mod",
  133:         "mtxlock", "mtxstatus", "mtxtrylock", "mtxunlock",
  134: 
  135: #               ifndef COMPLETION
  136:                 COMPLETION_RC,
  137: #               endif
  138: 
  139:         "neg", "newplane", "next", "not", "nrand", "nrproc", "ns", "num",
  140: 
  141: #               ifndef COMPLETION
  142:                 COMPLETION_RC,
  143: #               endif
  144: 
  145:         "obget", "obsub", "oct", "open", "or", "over",
  146: 
  147: #               ifndef COMPLETION
  148:                 COMPLETION_RC,
  149: #               endif
  150: 
  151:         "p->r", "paper", "parameter",
  152:                 "parametric", "pcov", "peek", "perm", "persist", "pi",
  153:                 "pick", "plot", "plotter",
  154:                 "pmax", "pmin", "poke", "polar", "pos", "ppar", "pr1", "print",
  155:                 "private",
  156:                 "prlcd", "prmd", "procid",
  157:                 "prompt", "protect", "prst", "prstc", "prusr",
  158:                 "prvar", "psdev", "pshcntxt", "pshprfl", "pulcntxt", "pulprfl",
  159:                 "purge", "put", "putc", "putr",
  160:                 "puti", "pvar",
  161: 
  162: #               ifndef COMPLETION
  163:                 COMPLETION_RC,
  164: #               endif
  165: 
  166:         "qr",
  167: 
  168: #               ifndef COMPLETION
  169:                 COMPLETION_RC,
  170: #               endif
  171: 
  172:         "r->b", "r->c", "r->d", "r->p", "rad", "rand", "rank", "rceq", "rci",
  173:                 "rcij", "rcl",
  174:                 "rclf", "rcls", "rclswi", "rcws", "rdate",
  175:                 "rdm", "rdgn", "rdz", "re", "read", "recall", "recode", "recv",
  176:                 "redraw", "regv", "relax", "remove", "repeat", "repl", "res",
  177:                 "return", "revlist", "rewind", "rfuse",
  178:                 "rl", "rlb", "rnd", "rnrm",
  179:                 "roll", "rolld", "rot", "row+", "row-", "row->",
  180:                 "rr", "rrb", "rsd", "rstop", "rswp",
  181: 
  182: #               ifndef COMPLETION
  183:                 COMPLETION_RC,
  184: #               endif
  185: 
  186:         "s+", "s-", "same", "save", "scatter", "scale", "sched",
  187:                 "schur", "sci", "scls",
  188:                 "sconj", "sdev", "select", "send", "sf", "shared",
  189:                 "sign",
  190:                 "sin", "sinh", "sinv", "size", "sl", "slb", "slice",
  191:                 "slicescale", "smphrdecr", "smphrgetv", "smphrincr",
  192:                 "smphrtrydecr", "sneg", "sort", "spar", "spawn",
  193:                 "splash", "sq", "sqlconnect", "sqldisconnect", "sqlquery",
  194:                 "sqrt", "sr", "srb", "sst", "start", "static", "std", "step",
  195:                 "steq", "sto", "sto+", "sto-", "sto*", "sto/",
  196:                 "stof", "stop", "store", "stos", "stoswi",
  197:                 "str->", "stws", "sub",
  198:                 "suspend", "svd", "svl", "swap", "swapcntxt",
  199:                 "swi", "swilock", "swiqueue", "swistatus", "swiunlock",
  200:                 "sx", "sx2", "sxy", "sy", "sy2", "sync", "syseval",
  201: 
  202: #               ifndef COMPLETION
  203:                 COMPLETION_RC,
  204: #               endif
  205: 
  206:         "t->l", "table->", "tail", "tan", "tanh", "target", "taylr",
  207:                 "then", "time", "title", "tokenize",
  208:                 "tot", "trim", "trn", "trnc",
  209:                 "true", "type",
  210: 
  211: #               ifndef COMPLETION
  212:                 COMPLETION_RC,
  213: #               endif
  214: 
  215:         "ucase", "uchol", "undo", "unlock", "unprotect",
  216:         "until", "use", "utpc", "utpf", "utpn",
  217:         "utpt",
  218: 
  219: #               ifndef COMPLETION
  220:                 COMPLETION_RC,
  221: #               endif
  222: 
  223:         "var", "variable", "vars", "verify", "version", "visit", "volatile",
  224: 
  225: #               ifndef COMPLETION
  226:                 COMPLETION_RC,
  227: #               endif
  228: 
  229:         "wait", "warranty", "wfack", "wfdata", "wflock", "wfpoke",
  230:         "wfproc", "wfsock", "wfswi",
  231:         "while",
  232:         "wireframe", "workdir", "write",
  233: 
  234: #               ifndef COMPLETION
  235:                 COMPLETION_RC,
  236: #               endif
  237: 
  238:         "xcol", "xor", "xpon", "xroot",
  239: 
  240: #               ifndef COMPLETION
  241:                 COMPLETION_RC,
  242: #               endif
  243: 
  244:         "ycol", "yield",
  245: 
  246: #               ifndef COMPLETION
  247:                 COMPLETION_RC,
  248: #               endif
  249: 
  250:         "+", "-", "*", "**", "*d", "*h", "*s", "*w" , "/", "^",
  251:         "%", "%ch", "%t",
  252:         
  253: #               ifndef COMPLETION
  254:                 COMPLETION_RC,
  255: #               endif
  256: 
  257:         "->", "->array", "->col", "->diag", "->hms", "->lcd", "->list", "->q",
  258:         "->row", "->str", "->table",
  259: 
  260: #               ifndef COMPLETION
  261:                 COMPLETION_RC,
  262: #               endif
  263: 
  264:         ">=", "=>", ">", "<=", "=<", "<", "<>", "=", "==",
  265: 
  266: #               ifndef COMPLETION
  267:                 COMPLETION_RC,
  268: #               endif
  269: 
  270:         "<<", ">>",
  271: 
  272:         NULL };
  273: #endif
  274: 
  275: // vim: ts=4

CVSweb interface <joel.bertrand@systella.fr>